MicroStation PowerDraft CONNECT Edition Help

演算子の優先順位

上記で説明した演算子はすべて、組み合わせて複合式にすることができます。ただし場合によっては、式の計算の順番によって得られる結果が異なることがあります。たとえば、次のような式の場合、

color + 3 * 5

次のとおり、二通りの解釈ができます。

(color + 3) * 5

OR

color + (3 * 5)

こうした曖昧さをなくすため、各演算子には相対的な優先順位が定められています。計算の順番を直接的に示す括弧がない場合、より高い優先度を持つ演算子が低い優先度の演算子よりも先に計算されます。演算子の優先度が同じである場合、左から順番に計算されます。次の表は、演算子の優先度を高いものから順に一覧表示しています。優先度が同じ演算子は同じ行にリストされています。

.NOT.NOT
/ *
+ -
.IN.IN .NI.NI
.EQ.EQ == .NE.NE <> .GE.GE > = .LE.LE< = .GT.GT> .LT.左上<
.OR.OR || .AND.AND &&

一般的に、あいまいになりそうな式の場合、優先度のルールに頼らずに、括弧を用いることが推奨されます。デザインスクリプトプログラムも、括弧によって理解しやすくなります。